Code Browser Pages:
Files in
ovm_sequence_4.tar



driver.sv
README.txt
sequence_item.sv
sequencer.sv
Current file: sequence.sv
testcase.sv



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s             OVM Tutorial             s////
////s           gopi@testbenh.in           s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////////////////////////////////////////////////

class demo_pre_body_post_body extends ovm_sequence #(instruction);

  instruction req;

  function new(string name="demo_pre_body_post_body");
    super.new(name);
  endfunction

  `ovm_sequence_utils(demo_pre_body_post_body, instruction_sequencer)

  virtual task pre_body();
       ovm_report_info(get_full_name()," pre_body() callback ",OVM_LOW);
  endtask

  virtual task post_body();
       ovm_report_info(get_full_name()," post_body() callback ",OVM_LOW);
  endtask

  virtual task body();
     ovm_report_info(get_full_name(),"body() method: Before ovm_do macro ",OVM_LOW);
     `ovm_do(req);
     ovm_report_info(get_full_name(),"body() method: After ovm_do macro ",OVM_LOW);
  endtask

endclass