Code Browser Pages:
Files in
ovm_sequence_2.tar



driver.sv
README.txt
sequence_item.sv
sequencer.sv
Current file: sequence.sv
testcase.sv



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s             OVM Tutorial             s////
////s           gopi@testbenh.in           s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////////////////////////////////////////////////

class demo_ovm_do extends ovm_sequence #(instruction);

  instruction req;

  function new(string name="demo_ovm_do");
    super.new(name);
  endfunction

  `ovm_sequence_utils(demo_ovm_do, instruction_sequencer)

  virtual task pre_do(bit is_item);
       ovm_report_info(get_full_name(),"Seuqnce Action Macro Phase  : PRE_DO   ",OVM_LOW);
  endtask

  virtual function void mid_do(ovm_sequence_item this_item);
       ovm_report_info(get_full_name(),"Seuqnce Action Macro Phase  : MID_DO   ",OVM_LOW);
  endfunction

  virtual function void post_do(ovm_sequence_item this_item);
       ovm_report_info(get_full_name(),"Seuqnce Action Macro Phase  : POST_DO   ",OVM_LOW);
  endfunction

  virtual task body();
     ovm_report_info(get_full_name(),"Seuqnce Action Macro Phase  : Before ovm_do macro ",OVM_LOW);
     `ovm_do(req);
     ovm_report_info(get_full_name(),"Seuqnce Action Macro Phase  : After ovm_do macro ",OVM_LOW);
  endtask

endclass