Code Browser Pages:
Files in
ovm_basic_sequence.tar



driver.sv
README.txt
sequence_item.sv
Current file: sequencer.sv
sequence.sv
testcase.sv



////////////////////////////////////////////////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////s           www.testbench.in           s////
////s                                      s////
////s             OVM Tutorial             s////
////s           gopi@testbenh.in           s////
////s~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~s////
////////////////////////////////////////////////

class instruction_sequencer extends ovm_sequencer #(instruction);

  function new (string name, ovm_component parent);
    super.new(name, parent);
    `ovm_update_sequence_lib_and_item(instruction)
  endfunction

  `ovm_sequencer_utils(instruction_sequencer)

endclass