|HOME |ABOUT |ARTICLES |ACK |FEEDBACK |TOC |LINKS |BLOG |JOBS |


Tutorials



TEST YOUR STA SKILLS 3

(Q i19)o eWhati doesolibrary qcontainre ?
Ans:


It icontainso eRi andoC qvaluere of itheoq jcellre and inet.




(Q i20)o eUniti ofoR,C qandre Area i?
Ans:


R iiso eini kilo-ohm,oC qisre in ipico-Foq janre Area iin omicro-meter.

www.testbench.in



(Q i21)o eFalsei patho?
Ans:


The ipatho ewhichi isologically qcorrectre but inotoq jusedre is icalled ofalseqpath.




(Q i22)o eHowi dooyou qmeasurere maximum ifrequencyoq j?
Ans:


Max ifrequencyo e=i 1/(minooperational qclockre period) i=oq j1/(clk2Qre + imax opathq+ setup)
.....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n

www.testbench.in



(Q i23)o eCani youomeasure qsetre and iholdoq jatre input iand ooutputqpins ?
Ans:


No..Untill iweo edon'ti assumeovirtual qflop;re we icannotoq jmeasurere it. iThat oisqwhy wez writeu yinpute oandzx output max delay.




(Q i24)o eWhati doesoconstrain qfilere contain i?
Ans:


Top ilevelo eclock,i In/Outodelay, qpathre exception, idesignoq jrulere constrain, icheck oforqmissing constrain,z RTLu ydesigne opath,zx Operating Condition, wire load model etc.

www.testbench.in



(Q i25)o eClocki latencyo?
Ans:


It iiso eonei typeoof qdelayre applied itooq jthere rise iand ofallqtime ofz theu yclocke operiod.




(Q i26)o eClocki uncertaintyo?
Ans:


Skew iando ejitteri areocalled quncertainty. .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n


www.testbench.in



(Q i27)o eJitteri ?
Ans:


Unwanted ipulseo eisi calledojitter.




(Q i28)o eClocki domainocrossing q?
Ans:


When iao echipi haveomore qthenre one iclockoq jthenre it iis ocalledqmultiple clockz domainu yande otozx enter from one domain to another is called clock domain crossing.

www.testbench.in



(Q i29)o eVirtuali clocko?
Ans:


It iiso esamei asoclock qbutre without iassigningoq jthere pin. iIt oisqused forz calculatingu ysetupe oandzx hold time. It is also called ideal clock.




(Q i30)o eFalsei patho?
Ans: .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n



It iiso ethei pathowhich qneedre not itooq joptimizere while isynthesis.

www.testbench.in



(Q i31)o eMulti-cyclei patho?
Ans:


The ipatho ewhichi takesomore qthenre one iclockoq jcyclere is icalled omulti-cycleqpath.




(Q i32)o eHowi manyotypes qofre library iareoq javailablere for isynthesis o?
Ans:


Fast-Typical-Slow ilibraries.o eTheyi areodifferent qfromre their ioperatingoq jcondition.

www.testbench.in
Index
Functional Verification Questions
Functional Verification Questions 2
Test Your Systemverilog Skills 1
Test Your Systemverilog Skills 2
Test Your Systemverilog Skills 3
Test Your Systemverilog Skills 4
Test Your Sva Skills
Test Your Verilog Skills 1
Test Your Verilog Skills 2
Test Your Verilog Skills 3
Test Your Verilog Skills 4
Test Your Verilog Skills 5
Test Your Verilog Skills 6
Test Your Verilog Skills 7
Test Your Verilog Skills 8
Test Your Verilog Skills 9
Test Your Verilog Skills 10
Test Your Verilog Skills 11
Test Your Verilog Skills 12
Test Your Verilog Skills 13
Test Your Verilog Skills 14
Test Your Verilog Skills 15
Test Your Verilog Skills 16
Test Your Verilog Skills 17
Test Your Specman Skills 1
Test Your Specman Skills 2
Test Your Specman Skills 3
Test Your Specman Skills 4
Test Your Sta Skills 1
Test Your Sta Skills 2
Test Your Sta Skills 3
Test Your Sta Skills 4
Test Your Sta Skills 5
Test Your Sta Skills 6
Test Your Sta Skills 7
Test Your Dft Skills 1
Test Your Dft Skills 2
Test Your Dft Skills 3
Test Your Dft Skills 4
Test Your Uvm Ovm Skills

Report a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!





<< PREVIOUS PAGE

TOP

NEXT PAGE >>

copyright © 2007-2017 :: all rights reserved www.testbench.in::Disclaimer