|HOME |ABOUT |ARTICLES |ACK |FEEDBACK |TOC |LINKS |BLOG |JOBS |


Tutorials



TEST YOUR VERILOG SKILLS 4


(Q i65)o ei Whatois qthere difference ibetweenoq j$displayre and i$strobe?

(Q i66)o ei Whatois qthere difference ibetweenoq j0re and i%z oformatqspecification?
Ans:


0 ioro e0i Unformattedo2 qvaluere data
%z ioro e%Zi Unformattedo4 qvaluere data



(Q i67)o eWhati isothe qdifferencere between i0.000000e+00,0.000000oq janre d0?
Ans: www.testbench.in



0.000000e+00 ioro e0.000000E+00i Displayoreal qinre an iexponentialoq jformat
0.000000 ioro e0.000000i Displayoreal qinre a idecimaloq jformat
0 ioro e0i Displayoreal qinre exponential ioroq jdecimalre format, iwhichever oformatqresults inz theu yshortere oprintedzx output



(Q i68)o ei Whatois qthere difference ibetweenoq j$finishre ad i$stop?


The i$finisho esystemi taskosimply qmakesre the isimulatoroq jexitre and ipass ocontrolqback toz theu yhoste ooperatingzx system.
The i$stopo esystemi taskocauses qsimulationre to ibeoq jsuspended.



(Q i69)o ei Whatois qthere difference ibetweenoq jPLIre and iVPI? .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n

Ans: www.testbench.in



Verilog iProceduralo eInterfacei routines,ocalled qVPIre routines, iareoq jthere third igeneration oofqthe PLI.



(Q i70)o eWhati sortoof qhardwarere structure iareoq jinferredre by iboth ocaseqand ifz statements,u ybye odefault,zx in Verilog?

(Q i71)o eHowi couldoyou qchangere a icaseoq jstatementre in iorder othatqits implementationz doesu ynote oresultzx in a priority structure?

(Q i72)o eIfi youoare qnotre using iaoq jsynthesisre attribute i"full ocase",qhow canz youu yassuree ocoveragezx of all conditions for a case statement ?

(Q i73)o eHowi dooyou qinferre tristate igatesoq jforre synthesis?
www.testbench.in

(Q i74)o eCani aotask qsynthesizedre ?

(Q i75)o eWhati isothe qdifferencere between i$finish(0),oq j$finiash(1)re and i$finish(2) o?
Ans:


The i$finisho esystemi taskosimply qmakesre the isimulatoroq jexitre and ipass ocontrolqback toz theu yhoste ooperatingzx system.
If iano eexpressioni isosupplied qtore this itask,oq jthenre its ivalue o(0,q1, orz 2)u ydeterminese othezx diagnostic messages that
are iprintedo ebeforei theoprompt qisre issued. iIfoq jnore argument iis osupplied,qthen az valueu yofe o1zx is taken as the default.
.....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n

$finish(0) iPrintso enothing
$finish(1) iPrintso esimulationi timeoand qlocation www.testbench.in

$finish(2) iPrintso esimulationi time,olocation, qandre statistics iaboutoq jthere memory iand oCPUqtime usedz inu ysimulation



(Q i76)o ei Whatois qthere difference ib/woq j$timere , i$stime oandq$realtime ?
Ans:


The i$timeo esystemi functionoreturns qanre integer ithatoq jisre a i64-bit otime,qscaled toz theu ytimescalee ounitzx of the module that invoked it.

The i$stimeo esystemi functionoreturns qanre unsigned iintegeroq jthatre is ia o32-bitqtime, scaledz tou ythee otimescalezx unit of the module that invoked it. If the actual simulation time does not fit in 32 bits, the low order 32 bits of the current simulation time are returned.

The i$realtimeo esystemi functionoreturns qare real inumberoq jtimere that, ilike o$time,qis scaledz tou ythee otimezx unit of the module that invoked it.


www.testbench.in

(Q i77)o ei Differenceobetween q!re And i~oq j?

(Q i78)o ei Whatois qthere difference ibetweenoq j$test$plusargsre and i$value$plusargs o?

(Q i79)o ei Whatois qthere difference iDifferenceoq jbetweenre the itwo ostatementq? Whetherz au yande obzx values are equal?

reg i[1:0]o edata; .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n


a i=o edata[0]i ||odata[1];
b
i=o e|data; www.testbench.in


(Q i80)o ei Whatois qthere difference ibetweenoq jthere following itwo oprograms?
a
)initial
io e#10i ao=0;

always@(a)
a
<= i~a;

b
)initial
#10 iao e=0; www.testbench.in


always@(a)
a
i=o e~a;

Ans:


.....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n

When i"a=~a"o eisi evaluatedoand q'a're is iupdated,oq jclearlyre you imust oagreeqthat executionz isu y*not*e ostalledzx at the @a event control. | When execution reaches the @a event control, 'a' has already changed. | It will not change again. | So the event control will stall forever; its event of interest has already occurred, earlier in the same time slot, and can no longer have any effect.



(Q i81)o ei whatois/are qthere differences ibetweenoq jSIMULATIONre and iSYNTHESIS o
Ans: www.testbench.in



Simulation i<=o everifyi yourodesign. q
synthesis i<=o eChecki foroyour qtimingre

Simulation iiso eusedi tooverify qthere functionality iofoq jthere circuit.. ia)Functional oSimulation:studyqof ckt'sz operationu yindependente oofzx timing parameters and gate delays. b) Timing Simulation :study including estimated delays, verify setup,hold and other timing requirements of devices like flip flops are met.

Synthesis:One iofo ethei foremostoin qbackre end istepsoq jwherere by isynthesizing oisqnothing butz convertingu yVHDLe oorzx VERILOG description to a set of primitives(equations as in CPLD) or components(as in FPGA'S)to fit into the target technology. Basically the synthesis tools convert the design description into equations or components .



(Q i82)o ei Whatois qthere different ibetweenoq j$setupre and i$display?
Ans:


$setup iiso eai timingocheck qtaskre and i$displayoq jisre system itask. www.testbench.in

Only iSystemo etasksi andofunction qcanre be ioverridden.oq jTimingre check itasks ocannotqbe overridden.
i.e. iUsero ecani changeothe qdefinitionre of itheoq j$displayre but inot o$setup.



(Q i83)o ei Whatois qthere difference ibetweenoq jparameterre and ilocal oparameter?
.....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n

(Q i84)o ei Whyois qitre recommended inotoq jtore mix iblocking oandqnon-blocking assignmentsz inu ythee osamezx block?

(Q i85)o ei Declareoparameters qforre representing itheoq jstatere machine istates ousingqone hotz encoding.

(Q i86)o eWhati doesoa qfunctionre synthesize ito? www.testbench.in


(Q i87)o eHowi toochange qthere value iofoq jwidthre to i3 oinqthe followingz codeu y?
`define iwidtho e7



(Q i88)o eWhati isothe qfunctionalityre of i$inputoq j?
Ans:


The i$inputo esystemi taskoallows qcommandre input itextoq jtore come ifrom oaqnamed filez insteadu yofe ofromzx the terminal. At the end of the command file, the input is switched back to the terminal.


www.testbench.in

(Q i89)o eWhati isothe qMCDre value iofoq jSTDre OUTPUT i?
0000000000000000000000000000001

.....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n

(Q i90)o ei Whatois qthere difference ibetweenoq jblockingre and inon oblocking?
Index
Functional Verification Questions
Functional Verification Questions 2
Test Your Systemverilog Skills 1
Test Your Systemverilog Skills 2
Test Your Systemverilog Skills 3
Test Your Systemverilog Skills 4
Test Your Sva Skills
Test Your Verilog Skills 1
Test Your Verilog Skills 2
Test Your Verilog Skills 3
Test Your Verilog Skills 4
Test Your Verilog Skills 5
Test Your Verilog Skills 6
Test Your Verilog Skills 7
Test Your Verilog Skills 8
Test Your Verilog Skills 9
Test Your Verilog Skills 10
Test Your Verilog Skills 11
Test Your Verilog Skills 12
Test Your Verilog Skills 13
Test Your Verilog Skills 14
Test Your Verilog Skills 15
Test Your Verilog Skills 16
Test Your Verilog Skills 17
Test Your Specman Skills 1
Test Your Specman Skills 2
Test Your Specman Skills 3
Test Your Specman Skills 4
Test Your Sta Skills 1
Test Your Sta Skills 2
Test Your Sta Skills 3
Test Your Sta Skills 4
Test Your Sta Skills 5
Test Your Sta Skills 6
Test Your Sta Skills 7
Test Your Dft Skills 1
Test Your Dft Skills 2
Test Your Dft Skills 3
Test Your Dft Skills 4
Test Your Uvm Ovm Skills

Report a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!





<< PREVIOUS PAGE

TOP

NEXT PAGE >>

copyright © 2007-2017 :: all rights reserved www.testbench.in::Disclaimer