|HOME |ABOUT |ARTICLES |ACK |FEEDBACK |TOC |LINKS |BLOG |JOBS |


Tutorials



TEST YOUR SYSTEMVERILOG SKILLS 3


(Q i128)o eHowi tooconvert qreadre data itooq jintegerre data i?

(Q i129)o eIi haveoa qobjectre of iaoq jclass.re I iwould olikeqto printz theu yclasse oname.zx How to print the class name using object handle?

(Q i130)o eIi haveoa qmultire dimensional iarray.oq jIre don~Rt iknow otheqnumber ofz dimensionsu yite ohas.zx How to know the number of dimensions of multi dimensional array?

(Q i131)o eHowi programoblock qisre different ifromoq jmodule?re

(Q i132)o eWhati isoan qinterfacere and iwhyoq jitre is iused? www.testbench.in


(Q i133)o ei Ifoclocking qblockre is inotoq jusedre then iwhat oqhappens?

(Q i134)o eWhati isofinal qblockre ?

(Q i135)o eHowi tooimplement qare always iblockoq jlogicre in iprogram oblockq?

(Q i136)o eWhati isothe qdifferencere between ifor/joinoq j,re fork/join_none iand ofork/join_anyq?
.....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n

(Q i137)o eWhati isothe qusere of imodoq jportsre ? www.testbench.in


(Q i138)o eWritei aoclock qgeneratorre without iusingoq jalwaysre block.

(Q i139)o ewhati isomodports q?re difference ibetweenoq jmodportsre and iinterface? o

(Q i140)o eHowi dooyou qusesre classes itooq jrandomize?re

(Q i141)o eStatici andoautomatic qfunctions?

(Q i142)o eWhati isoforward qreferencingre and ihowoq jtore avoid ithis oproblem? www.testbench.in


(Q i143)o eWhati isocircular qdependencyre and ihowoq jtore avoid ithis oproblemq?

(Q i144)o eWhati isocross qcoveragere ?

(Q i145)o eDescribei theodifference qbetweenre Code iCoverageoq jandre Functional iCoverage oWhichqis morez importantu yande oWhyzx we need them

(Q i146)o eHowi tookill qare process iinoq jare fork/join i? .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n


(Q i147)o eDifferencei betweenoAssociative qarrayre and iDynamicoq jarrayre ? www.testbench.in


(Q i148)o eWhati areothe qadvantagesre of iSystemoq jVerilogre DPI i?

(Q i149)o ehowi toorandomize qare dynamic iarrayoq jofre objects?

(Q i150)o eWhati isorandsequence qandre what iisoq jitsre use i?

(Q i151)o eWhati isobin q?

(Q i152)o e www.testbench.in

Initial
wait_order i(a,b,c);

iWhicho efromi belowoinitial qprocessre will icauseoq jthatre above iwait oorderqwill pass.
a
)
io ei oinitial qbegin
io ei o#1; .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n

io ei o q->a;
io ei o q->b;
io ei o q->c; www.testbench.in

io ei oend

b
)
io ei oinitial qbegin
io ei o#1;
io ei o q->a;
io ei oend
io ei alwayso@a q->b;
io ei alwayso@b q->re c;
www.testbench.in

c)

io ei oinitial qbegin
io ei o#1;
io ei o q->a;
io ei o q#0re ->b; .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n

io ei o q->>c;
end

d
) www.testbench.in


io ei oinitial qbegin
io ei o q#1re ->a;
io ei o q#1re ->b;
io ei o q#1re ->c;
io ei oend


(Q i153)o eWhyi alwaysoblock qisre not iallowedoq jinre program iblock o?
www.testbench.in

(Q i154)o eWhichi isobest qtore use itooq jmodelre a itransaction o?qStruct orz classu y?

(Q i155)o eHowi SVois qmorere random istableoq jthenre Verilog i?

(Q i156)o eWhati isothe qdifferencere between i"staticoq jtaskre abc()" iand o"taskqstatic abd()"z ? .....w.....w......w......t.....e.....s.....t......b.....e.....n.....c.....h......i.....n


(Q i157)o eHowi tooadd qare new iprocessoq jwithoutre disturbing ithe orandomqnumber generatorz stateu y?

(Q i158)o eWhati isothe qneedre of ialiasoq jinre SV i?
www.testbench.in
Index
Functional Verification Questions
Functional Verification Questions 2
Test Your Systemverilog Skills 1
Test Your Systemverilog Skills 2
Test Your Systemverilog Skills 3
Test Your Systemverilog Skills 4
Test Your Sva Skills
Test Your Verilog Skills 1
Test Your Verilog Skills 2
Test Your Verilog Skills 3
Test Your Verilog Skills 4
Test Your Verilog Skills 5
Test Your Verilog Skills 6
Test Your Verilog Skills 7
Test Your Verilog Skills 8
Test Your Verilog Skills 9
Test Your Verilog Skills 10
Test Your Verilog Skills 11
Test Your Verilog Skills 12
Test Your Verilog Skills 13
Test Your Verilog Skills 14
Test Your Verilog Skills 15
Test Your Verilog Skills 16
Test Your Verilog Skills 17
Test Your Specman Skills 1
Test Your Specman Skills 2
Test Your Specman Skills 3
Test Your Specman Skills 4
Test Your Sta Skills 1
Test Your Sta Skills 2
Test Your Sta Skills 3
Test Your Sta Skills 4
Test Your Sta Skills 5
Test Your Sta Skills 6
Test Your Sta Skills 7
Test Your Dft Skills 1
Test Your Dft Skills 2
Test Your Dft Skills 3
Test Your Dft Skills 4
Test Your Uvm Ovm Skills

Report a Bug or Comment on This section - Your input is what keeps Testbench.in improving with time!





<< PREVIOUS PAGE

TOP

NEXT PAGE >>

copyright © 2007-2017 :: all rights reserved www.testbench.in::Disclaimer