Code Browser Pages:
Files in
rvm_eth.tar



Current file: call_back.vr
cfg_intf.vr
cfg_xtor.vr
chan.vr
cov.vr
defines.vr
env.vr
host_driver.vr
host_intf.vr
host_xtor_rx.vr
host_xtor.vr
phy_driver.vr
phy_intf.vr
phy_xtor_rx.vr
phy_xtor.vr
pkt.vr
pro.vr
run
rx_pkt.vr
sb.vr
timescale.v
top.v



#include <rvm_std_lib.vrh>

virtual class data_callback extends rvm_xactor_callbacks{
    virtual task pre_cb(packet pkt){
    }
    virtual task post_cb(packet pkt){
    }
}

class dbg_data_callback extends data_callback{
    virtual task pre_cb(packet pkt){
    pkt.display();
    }
    virtual task post_cb(packet pkt){
    }
}